Materials and Processes for Next Generation Lithography

Materials and Processes for Next Generation Lithography
Author:
Publisher: Elsevier
Total Pages: 636
Release: 2016-11-08
Genre: Science
ISBN: 0081003587


Download Materials and Processes for Next Generation Lithography Book in PDF, Epub and Kindle

As the requirements of the semiconductor industry have become more demanding in terms of resolution and speed it has been necessary to push photoresist materials far beyond the capabilities previously envisioned. Currently there is significant worldwide research effort in to so called Next Generation Lithography techniques such as EUV lithography and multibeam electron beam lithography. These developments in both the industrial and the academic lithography arenas have led to the proliferation of numerous novel approaches to resist chemistry and ingenious extensions of traditional photopolymers. Currently most texts in this area focus on either lithography with perhaps one or two chapters on resists, or on traditional resist materials with relatively little consideration of new approaches. This book therefore aims to bring together the worlds foremost resist development scientists from the various community to produce in one place a definitive description of the many approaches to lithography fabrication. Assembles up-to-date information from the world’s premier resist chemists and technique development lithographers on the properties and capabilities of the wide range of resist materials currently under investigation Includes information on processing and metrology techniques Brings together multiple approaches to litho pattern recording from academia and industry in one place

Catalysis and Materials Development for Photolithography

Catalysis and Materials Development for Photolithography
Author: Ryan Alan Mesch
Publisher:
Total Pages: 616
Release: 2014
Genre:
ISBN:


Download Catalysis and Materials Development for Photolithography Book in PDF, Epub and Kindle

In recent years the microelectronics industry as found itself at an impasse. The tradition pathway towards smaller transistors at lower costs has hit a roadblock with the failure of 157 nm lithography and the continued delays in 13.5 nm extreme ultra violet light sources. While photolithography has been able to keep pace with Moore's law over the past four decades, alternative patterning technologies are now required to keep up with market demand. The first section of this dissertation discusses the new resolution enhancement technique develop in the Willson lab termed pitchdivision. Through the incorporation of specifically tailored photobase generators (PBGs) into commercially available resists, the resolution of current 193 tools may be doubled. Special two-stage PBGs were designed and synthesized to increase the image fidelity of pitchdivision patterns. The next project deals with the design, synthesis, and evaluation of resists that find amplification through unzipping polymers. An aromatizing polyester polymer that acts as dissolution inhibitor in novolac and is inherently sensitive to 13.5 nm exposure is discussed. Initial results show excellent sensitivity and promise towards a new class of EUV resists.

Design, Synthesis, and Application of Lithographic Resists and Nonlinear Optical Materials

Design, Synthesis, and Application of Lithographic Resists and Nonlinear Optical Materials
Author: Brian Keith Long
Publisher:
Total Pages: 500
Release: 2009
Genre:
ISBN:


Download Design, Synthesis, and Application of Lithographic Resists and Nonlinear Optical Materials Book in PDF, Epub and Kindle

Fluorinated norbornene monomers exhibit the requisite properties for inclusion in 157 nm photoresists, but traditional addition and radical polymerizations with these monomers have failed. Norbornanediols provide an alternate route to these materials via condensation polymerization, and methods have been developed for the efficient synthesis of the exo-2-syn-7- and endo-2-exo-3-dihydroxynorbornanes. Synthesis of the fluorinated analogues is complicated by steric and electronic effects; however, a high-yielding synthesis of endo-2-exo-3-dihydroxynorbornane bearing a 5-endo-[2,2-bis(trifluoromethyl)hydroxyethyl] substituent as well as its corresponding polymer are reported. As an alternative to 157 nm and other optical lithographies, Step and Flash Imprint Lithography, or S-FIL®, was introduced in 1999 by The University of Texas at Austin. It has proven to be a cost effective, high resolution alternative to traditional optical lithography. Often in the S-FIL process, residual resist may become imbedded within the template features resulting in device defects due to the imprint and repeat nature of S-FIL. The high silicon and cross-linking content of the resist formulations are extremely difficult, if not impossible to remove from quartz imprint mold without template degradation. Our approach to this problem was the synthesis of a family of thermally reversible, cross-linkable monomers that will facilitate resist removal while maintaining template integrity. Our monomers utilize classic Diels-Alder chemistry to provide thermal reversibility, while pendant acrylate functionalities facilitate cross-linking. Herein we report the synthesis of several Diels-Alder compounds, incorporate them into resist formulations, and test their efficacy for resist removal. In an effort to develop unique patternable materials, our laboratory is currently engaged in the design and development of photonic crystals comprised of organic elements with highly stable electro-optic activity. Fabrication of these devices requires polymers that can be patterned at high resolution, have large second order nonlinear optical (NLO) coefficients, and that are thermally stable after poling. Our route to these materials involves the synthesis of a prepolymer that can be spin coated, poled, and then fixed by a photochemical cross-linking reaction. We now describe an efficient synthetic route to a new class of biscross-linkable monomers and the characteristics of their corresponding nonlinear optical polymers.

Patternable Materials for Next-generation Lithography

Patternable Materials for Next-generation Lithography
Author: Austin Patrick Lane
Publisher:
Total Pages: 462
Release: 2017
Genre:
ISBN:


Download Patternable Materials for Next-generation Lithography Book in PDF, Epub and Kindle

One of the salient truths facing the microelectronics industry today is that photolithography tools are unable to meet the resolution requirements for manufacturing next-generation devices. In the past, circuit feature sizes have been minimized by reducing the exposure wavelength used for patterning. However, this strategy failed with the worldwide dereliction of 157 nm lithography in 2003. Extreme ultraviolet (EUV) lithography still faces many technical challenges and is not ready for high volume manufacturing. How will the microelectronics industry continue to innovate without regular advances in photopatterning technology? Regardless of which paradigm is adopted, new materials will probably be required to meet the specific challenges of scaling down feature sizes and satisfying the economic ultimatum of Moore’s Law. In the search for higher resolution patterning tools, device manufacturers have identified block copolymer (BCP) lithography as a possible technique for next-generation nanofabrication. BCP self-assembly offers access to sub-5 nm features in thin films, well beyond the resolution limits of photolithography. However, BCP materials must be carefully designed, synthesized, and processed to create lithographically interesting features with good etch resistance for pattern transfer. In this dissertation, we describe a pattern transfer process for 5 nm BCP lamellae and a directed self-assembly (DSA) process for aligning 5 nm structures in thin films. To achieve defect-free alignment, the interfacial interactions between the BCP and pre-patterned substrate must be precisely controlled. We also discuss a new process for selectively modifying oxidized chromium films using polymer brushes, which could further improve the aforesaid DSA process. To facilitate better pattern transfer of BCP structures, several new BCPs with “self-developing” blocks were synthesized and tested. These materials depolymerize and evaporate in strongly acidic environments, leading to developed BCP features without the need for etching or solvent. “Self-developing” polymers may also be useful materials for traditional photolithography. Chemically amplified resists used in manufacturing today are fundamentally limited by a trade-off between sensitivity and pattern quality. To overcome this problem, we present a new type of photoresist that relies on depolymerization, rather than catalysis, to achieve amplification without producing significant roughness or bias in the final pattern

Small Molecule Photoresist Materials for Next Generation Lithography

Small Molecule Photoresist Materials for Next Generation Lithography
Author: Marie Elyse Krysak
Publisher:
Total Pages: 414
Release: 2013
Genre:
ISBN:


Download Small Molecule Photoresist Materials for Next Generation Lithography Book in PDF, Epub and Kindle

Photolithography remains the most efficient method to create semiconductor devices. Moore's law states that the number of transistors per integrated circuit will double every four years. In order to successfully continue this trend of miniaturizing feature sizes, new, smaller sized patterning materials must be studied. Small molecule photoresists are being developed for high resolution patterning. Low molecular weight amorphous materials, or molecular glasses (MGs), have emerged as alternatives to polymeric resist materials. They combine the benefits of small molecular size with the favorable aspects of polymers, such as a high glass transition temperature (Tg) and the ability to form thin films. Inorganic-based nanoparticles are currently being explored as next generation photoresists. These materials are similar in architecture to MGs, but are comprised of an inorganic core that provides excellent thermal stability and resistance to plasma etching. This research focuses on the synthesis and characterization both MG and nanoparticle resist materials for high resolution patterning. The materials studied are designed for use with Extreme Ultraviolet Lithography (EUV-L), using a wavelength of 13.5 nm. This next-generation technique is believed to be the key to extending patterning capabilities to sub 30 nm and beyond. Small molecule resists materials have been specifically designed for use with alternative lithographic processing techniques. Small, rigid structures were designed for vapor deposition, which has been examined as an alternative to spin-coating. This process has been shown to deposit a uniform film, free from defects and impurities, without the use of solvent. Sub-millisecond laser heating is a relatively new technique that is studied as an alternative the post exposure bake. This method has shown the ability to reduce line edge roughness while simultaneously improving resist sensitivity. Systematically designed MG photoacid generators have been used to characterize the acid diffusion behavior during laser heating as compared to traditional hotplate heating. The development of resist materials for these new processes is a critical step in the preparation of these processes for widespread use in lithographic processing. ii.

Molecular Resists for Advanced Lithography - Design, Synthesis, Characterization, and Simulation

Molecular Resists for Advanced Lithography - Design, Synthesis, Characterization, and Simulation
Author: Richard A. Lawson
Publisher:
Total Pages:
Release: 2011
Genre: Integrated circuits
ISBN:


Download Molecular Resists for Advanced Lithography - Design, Synthesis, Characterization, and Simulation Book in PDF, Epub and Kindle

Many problems exist in current photoresist designs that will limit their ability to obtain the performance required for future generations of integrated circuit devices. In order to overcome these challenges, novel resist designs are required, along with advancement in the fundamental understanding of the source of these problems. A mesoscale kinetic Monte Carlo simulation of resists was developed to probe the effects of changes in resist formulation and processing. A detailed SEM simulator was developed in order to better understand the effect of metrology on the characterization of the final resist relief image. Several important structure-property relations were developed for the prediction of glass transition temperature in molecular resists and the prediction of the solubility of molecular resists in developer. Five new families of molecular resists were developed that provide solutions to some of the limitations in current resist designs. Single component molecular resists have all of the functional groups required to act as a chemically amplified resist contained in a single molecule. This eliminates inhomogeneities in the resist and provides improved line edge roughness. Non-chemically amplified molecular resists were developed that have very good sensitivity due to the unique dissolution properties of molecular resists. Negative tone molecular resists were developed that have an excellent combination of resolution, sensitivity, and line edge roughness with better resolution than has been previously seen in negative tone resists. Control methods were also developed to improve the resolution of these types of negative tone resists even further.