Materials and Processes for Next Generation Lithography

Materials and Processes for Next Generation Lithography
Author:
Publisher: Elsevier
Total Pages: 636
Release: 2016-11-08
Genre: Science
ISBN: 0081003587


Download Materials and Processes for Next Generation Lithography Book in PDF, Epub and Kindle

As the requirements of the semiconductor industry have become more demanding in terms of resolution and speed it has been necessary to push photoresist materials far beyond the capabilities previously envisioned. Currently there is significant worldwide research effort in to so called Next Generation Lithography techniques such as EUV lithography and multibeam electron beam lithography. These developments in both the industrial and the academic lithography arenas have led to the proliferation of numerous novel approaches to resist chemistry and ingenious extensions of traditional photopolymers. Currently most texts in this area focus on either lithography with perhaps one or two chapters on resists, or on traditional resist materials with relatively little consideration of new approaches. This book therefore aims to bring together the worlds foremost resist development scientists from the various community to produce in one place a definitive description of the many approaches to lithography fabrication. Assembles up-to-date information from the world’s premier resist chemists and technique development lithographers on the properties and capabilities of the wide range of resist materials currently under investigation Includes information on processing and metrology techniques Brings together multiple approaches to litho pattern recording from academia and industry in one place

Lithography

Lithography
Author: Stefan Landis
Publisher: John Wiley & Sons
Total Pages: 311
Release: 2013-03-04
Genre: Technology & Engineering
ISBN: 1118621182


Download Lithography Book in PDF, Epub and Kindle

Lithography is now a complex tool at the heart of a technological process for manufacturing micro and nanocomponents. A multidisciplinary technology, lithography continues to push the limits of optics, chemistry, mechanics, micro and nano-fluids, etc. This book deals with essential technologies and processes, primarily used in industrial manufacturing of microprocessors and other electronic components.

Development and Advanced Characterization of Novel Chemically Amplified Resists for Next Generation Lithography

Development and Advanced Characterization of Novel Chemically Amplified Resists for Next Generation Lithography
Author: Cheng-Tsung Lee
Publisher:
Total Pages:
Release: 2008
Genre: Integrated circuits
ISBN:


Download Development and Advanced Characterization of Novel Chemically Amplified Resists for Next Generation Lithography Book in PDF, Epub and Kindle

The microelectronics industry has made remarkable progress with the development of integrated circuit (IC) technology which depends on the advance of micro-fabrication and integration techniques. On one hand, next-generation lithography (NGL) technologies which utilize extreme ultraviolet (EUV) and the state-of-art 193 nm immmersion and double patterning lithography have emerged as the promising candidates to meet the resolution requirements of the microelectronic industry roadmap. On the other hand, the development and advanced characterization of novel resist materials with the required critical imaging properties, such as high resolution, high sensitivity, and low line edge roughness (LER), is also indispensable. In conventional multi-component chemically amplified resist (CAR) system, the inherent incompatibility between small molecule photoacid generator (PAG) and the bulky polymer resin can lead to PAG phase separation, PAG aggregation, non-uniform PAG and acid distribution, as well as uncontrolled acid migration during the post-exposure baking (PEB) processes in the resist film. These problems ultimately create the tri-lateral tradeoff between achieving the desired lithography characteristics. Novel resist materials which can relief this constraint are essential and have become one of the most challenging issues for the implementation NGL technologies. This thesis work focuses on the development and characterization of novel resist materials for NGL technologies. In the first part of the thesis work, advanced characterization techniques for studying resist fundamental properties and lithographic performance are developed and demonstrated. These techniques provide efficient and precise evaluations of PAG acid generation, acid diffusivity, and intrinsic resolution and LER of resist materials. The applicability of these techniques to the study of resist structure-function relationships are also evaluated and discussed. In the second part of the thesis work, the advanced characterization and development of a novel resist system, the polymer-bound-PAG resists, are reported. The advantages of direct incorporation of PAG functionality into the resist polymer main chain are investigated and illustrated through both experimental and modeling studies. The structure-function relationships between the fundamental properties of polymer-bound-PAG resists and their lithographic performance are also investigated. Recommendations on substantial future works for characterizing and improving resist lithographic performance are discussed at the end of this thesis work.

EUV Lithography

EUV Lithography
Author: Vivek Bakshi
Publisher: SPIE Press
Total Pages: 704
Release: 2009
Genre: Art
ISBN: 0819469645


Download EUV Lithography Book in PDF, Epub and Kindle

Editorial Review Dr. Bakshi has compiled a thorough, clear reference text covering the important fields of EUV lithography for high-volume manufacturing. This book has resulted from his many years of experience in EUVL development and from teaching this subject to future specialists. The book proceeds from an historical perspective of EUV lithography, through source technology, optics, projection system design, mask, resist, and patterning performance, to cost of ownership. Each section contains worked examples, a comprehensive review of challenges, and relevant citations for those who wish to further investigate the subject matter. Dr. Bakshi succeeds in presenting sometimes unfamiliar material in a very clear manner. This book is also valuable as a teaching tool. It has become an instant classic and far surpasses others in the EUVL field. --Dr. Akira Endo, Chief Development Manager, Gigaphoton Inc. Description Extreme ultraviolet lithography (EUVL) is the principal lithography technology aiming to manufacture computer chips beyond the current 193-nm-based optical lithography, and recent progress has been made on several fronts: EUV light sources, optics, optics metrology, contamination control, masks and mask handling, and resists. This comprehensive volume is comprised of contributions from the world's leading EUVL researchers and provides all of the critical information needed by practitioners and those wanting an introduction to the field. Interest in EUVL technology continues to increase, and this volume provides the foundation required for understanding and applying this exciting technology. About the editor of EUV Lithography Dr. Vivek Bakshi previously served as a senior member of the technical staff at SEMATECH; he is now president of EUV Litho, Inc., in Austin, Texas.

Advanced Materials for Next-Generation Lithography

Advanced Materials for Next-Generation Lithography
Author: Evan Lawrence Schwartz
Publisher:
Total Pages: 264
Release: 2011
Genre:
ISBN:


Download Advanced Materials for Next-Generation Lithography Book in PDF, Epub and Kindle

The constant demand for increased circuit density and higher resolution patterning calls for simultaneous advancements in materials chemistry. A variety of possible approaches for next-generation lithography are explored, centering on the use of directly patternable self-assembling block copolymers, along with hafnium oxidebased nanoparticle photoresists. In one example of the first approach, a random copolymer brush layer of poly(styrene-ran-hydroxystyrene) was designed and synthesized to precisely tune the substrate/polymer surface energy for a lithographically patternable poly([alpha]methylstyrene-block-4-hydroxystyrene) (P[alpha]MS-b-PHOST) block copolymer. The surface was designed to avoid preferential wetting of either P[alpha]MS or PHOST domains to the substrate and orient the block copolymer domains vertically relative to the substrate. To neutralize the polymer/ vapor interface during solvent vapor processing, the film was exposed to a mixed solvent vapor of a defined polarity, creating vertical microdomains with long-range order. In the latter approach, hafnium oxide nanoparticles were covalently coated with a photo-reactive ligand, which allowed neighboring nanoparticles to form a crosslinked network upon exposure to ultraviolet light. The basic science of this new class of resist material is discussed. These negative-tone resists have so far demonstrated sub-50 nm resolution using 193nm interference lithography, and plasma etch resistance over thirteen times greater than PHOST under standard silicon etching conditions. In a combination of the two approaches, the co-assembly of the inorganic nanoparticles with the PHOST phase of P[alpha]MS-b-PHOST is shown. TEM and SAXS studies indicated the expansion of the microdomain periodicity upon nanoparticle incorporation. These block copolymer nanocomposite films offer enhanced functionality and a larger process window for subsequent pattern transfer into semiconductor substrates. In another example of co-assembly, phenolic molecular glass photoresists were blended with low molecular weight, triblock copolymer surfactants based on poly(ethylene oxide)(PEO). The miscibility of these blend components is shown to be a result of preferential hydrogen bonding between the hydroxyl groups attached to the molecular glass and the alkyl ether group of the PEO block, as shown by FTIR and DSC analysis. The blending resulted in an enhancement in segregation strength that led to the formation of sub-10nm self-assembled morphologies, as verified by SAXS. Options for the lithographic patterning of these blends are explored. Lastly, a combined additive and subtractive patterning technique is demonstrated that allows the deposition of multiple block copolymer films, of different domain sizes and pitches, on the same layer of the substrate. The approach used a semifluorinated negative-tone photoresist which is designed to resist intermixing when spin coated on top of a block copolymer film.

Small Molecule Photoresist Materials for Next Generation Lithography

Small Molecule Photoresist Materials for Next Generation Lithography
Author: Marie Elyse Krysak
Publisher:
Total Pages: 414
Release: 2013
Genre:
ISBN:


Download Small Molecule Photoresist Materials for Next Generation Lithography Book in PDF, Epub and Kindle

Photolithography remains the most efficient method to create semiconductor devices. Moore's law states that the number of transistors per integrated circuit will double every four years. In order to successfully continue this trend of miniaturizing feature sizes, new, smaller sized patterning materials must be studied. Small molecule photoresists are being developed for high resolution patterning. Low molecular weight amorphous materials, or molecular glasses (MGs), have emerged as alternatives to polymeric resist materials. They combine the benefits of small molecular size with the favorable aspects of polymers, such as a high glass transition temperature (Tg) and the ability to form thin films. Inorganic-based nanoparticles are currently being explored as next generation photoresists. These materials are similar in architecture to MGs, but are comprised of an inorganic core that provides excellent thermal stability and resistance to plasma etching. This research focuses on the synthesis and characterization both MG and nanoparticle resist materials for high resolution patterning. The materials studied are designed for use with Extreme Ultraviolet Lithography (EUV-L), using a wavelength of 13.5 nm. This next-generation technique is believed to be the key to extending patterning capabilities to sub 30 nm and beyond. Small molecule resists materials have been specifically designed for use with alternative lithographic processing techniques. Small, rigid structures were designed for vapor deposition, which has been examined as an alternative to spin-coating. This process has been shown to deposit a uniform film, free from defects and impurities, without the use of solvent. Sub-millisecond laser heating is a relatively new technique that is studied as an alternative the post exposure bake. This method has shown the ability to reduce line edge roughness while simultaneously improving resist sensitivity. Systematically designed MG photoacid generators have been used to characterize the acid diffusion behavior during laser heating as compared to traditional hotplate heating. The development of resist materials for these new processes is a critical step in the preparation of these processes for widespread use in lithographic processing. ii.

Microlithography

Microlithography
Author: Bruce W. Smith
Publisher: CRC Press
Total Pages: 770
Release: 2020-05-01
Genre: Technology & Engineering
ISBN: 1351643444


Download Microlithography Book in PDF, Epub and Kindle

The completely revised Third Edition to the bestselling Microlithography: Science and Technology provides a balanced treatment of theoretical and operational considerations, from fundamental principles to advanced topics of nanoscale lithography. The book is divided into chapters covering all important aspects related to the imaging, materials, and processes that have been necessary to drive semiconductor lithography toward nanometer-scale generations. Renowned experts from the world’s leading academic and industrial organizations have provided in-depth coverage of the technologies involved in optical, deep-ultraviolet (DUV), immersion, multiple patterning, extreme ultraviolet (EUV), maskless, nanoimprint, and directed self-assembly lithography, together with comprehensive descriptions of the advanced materials and processes involved. New in the Third Edition In addition to the full revision of existing chapters, this new Third Edition features coverage of the technologies that have emerged over the past several years, including multiple patterning lithography, design for manufacturing, design process technology co-optimization, maskless lithography, and directed self-assembly. New advances in lithography modeling are covered as well as fully updated information detailing the new technologies, systems, materials, and processes for optical UV, DUV, immersion, and EUV lithography. The Third Edition of Microlithography: Science and Technology authoritatively covers the science and engineering involved in the latest generations of microlithography and looks ahead to the future systems and technologies that will bring the next generations to fruition. Loaded with illustrations, equations, tables, and time-saving references to the most current technology, this book is the most comprehensive and reliable source for anyone, from student to seasoned professional, looking to better understand the complex world of microlithography science and technology.